]> Creatis software - clitk.git/commit
corrected fusion/overlay refresh
authorRomulo Pinho <romulo.pinho@lyon.unicancer.fr>
Mon, 2 Jul 2012 08:42:22 +0000 (10:42 +0200)
committerRomulo Pinho <romulo.pinho@lyon.unicancer.fr>
Mon, 2 Jul 2012 08:42:22 +0000 (10:42 +0200)
commita2a69c4f3626d907a101e1f984959106897f0d8b
tree72adb994de70e5d19e0e8ae21acc309d3676baed
parentc1f8fb924289d20bfc4b266e24ef52173df58b61
corrected fusion/overlay refresh

- occurred with 4D and 3D+t images
vv/vvSlicer.cxx
vv/vvSlicerManager.cxx